Найдено 4 результата

Vitalim
17 май 2017, 15:21
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 44189

Re: Аудио SSTC с сигма-дельта модулятором

BSVi, еще вопрос. Очень интересует, как вы считали значения на усилителях в модели третьего порядка?
Не проходит симуляция, как не пытался:)
Vitalim
10 янв 2017, 20:58
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 44189

Re: Аудио SSTC с сигма-дельта модулятором

Спасибо огромное, если можно, последний вопрос.
Почему шаг в дискретке в одном месте стоит 2e? в другом 3.3e?
Как искали оптимальный?
Vitalim
22 дек 2016, 03:17
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 44189

Re: Аудио SSTC с сигма-дельта модулятором

И еще один вопрос, если можно:)

в первом сообщении в модельке третьего порядка усилители чему равны у вас? каким образом их нужно настраивать в данном случае?
новичок, пытаюсь разобраться..
Vitalim
17 дек 2016, 18:54
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 44189

Re: Аудио SSTC с сигма-дельта модулятором

Могу задать пару вопросов?
Какие настройки стоят в фильтре? при усилителе звук не лучше, что странно.

И еще
какую плату можно использовать, чтобы напрямую к ней подсоединить как осциллограф, так и динамик? ну очень хочется в живую собрать попробовать

Перейти к расширенному поиску