Найден 91 результат

mutabor
26 янв 2016, 23:08
Форум: Наши проекты
Тема: Факельник!
Ответы: 87
Просмотры: 80677

Re: Факельник!

Предлагаю просто перевернуть схему конвертора. Сам лично так делал на 555 и ирф740 чисто для эксперимента, все прекрасно работало. Возможно даже схема осталась (прикреплю если найду). Надо будет подумать на досуге в уютной комнатке :lol: Надеюсь эта ОС только в случае ФАПЧ с ОС? :D Для buck по моем...
mutabor
25 янв 2016, 23:58
Форум: Наши проекты
Тема: Факельник!
Ответы: 87
Просмотры: 80677

Re: Факельник!

А в чем проблема его с ноля собрать, там пара деталей :D Хоть на той же NE555 можно сделать Ну не совсем, во-первых надо както управлять верхним ключом на 80В, а NE555 этого не умеет, потом преобразователь должен уметь очень быстро изменять уровень выходного иначе высокие частоты не будут воспроизв...
mutabor
25 янв 2016, 01:08
Форум: Трансформаторы Тесла
Тема: Простейшая SSTC и напряжение GDT
Ответы: 50
Просмотры: 51809

Re: Простейшая SSTC и напряжение GDT

Кинь второй луч на сток, посмотреть что там делается, одновременно с затвором
mutabor
25 янв 2016, 00:47
Форум: Наши проекты
Тема: Факельник!
Ответы: 87
Просмотры: 80677

Re: Факельник!

Цитата: ...На рисунке 6 приведена логическая структура цифровой части микросхемы CD4046. Частота генерации достигает 38 МГц при C1 = 0 пФ, R1 = 9,1 кОм, R2 = ∞ и 24МГц при C1 = 50 пФ, R1 = 3,5 кОм, R2 = ∞ при изменении напряжения питания от 3 до 6 В... А ведь и впрямь не врут марковки :D , как напи...
mutabor
24 янв 2016, 22:28
Форум: Наши проекты
Тема: Факельник!
Ответы: 87
Просмотры: 80677

Re: Факельник!

Согласно даташиту CD4046 до 20МГц разгоняется, не знаю откуда пошел слух что потолок у нее 1.5МГц. Вы не первый, кто говорит, что потолок в пределах 2МГц. Честно не видел таких цифр в даташите, может ткнете носом? Да, это конечно так, но и результат должен оправдать ожидание в случае когда всё удас...
mutabor
24 янв 2016, 22:10
Форум: Трансформаторы Тесла
Тема: Простейшая SSTC и напряжение GDT
Ответы: 50
Просмотры: 51809

Re: Простейшая SSTC и напряжение GDT

Вот мои картинки:
100v.jpg
Питание 100В

150v.jpg
150В

190v.jpg
190В

290v.jpg
290В

Чето я и сам не понял, но с увеличением питания колебания даже меньше становятся :o
mutabor
24 янв 2016, 19:32
Форум: Трансформаторы Тесла
Тема: Простейшая SSTC и напряжение GDT
Ответы: 50
Просмотры: 51809

Re: Простейшая SSTC и напряжение GDT

Расскажу как я это понимаю. Миллер это ток, который течет в затвор через сток-затворную емкость. Он возникает когда на стоке резко меняется напряжение. Чем больше сопротивление затвора, тем медленнее открывается транзистор и меньше миллеровский ток. В идеале на затворе должно быть эдакое плато -плат...
mutabor
24 янв 2016, 19:16
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 45306

Re: Аудио SSTC с сигма-дельта модулятором

Форма импульсов какая -трехуровневая манипуляция (короткий в плюс, потом ноль до следующего полупериода и короткий в минус)? Мы такую использовали както, как раз для управления полумостом через транс. Ферриты были обычные Н2000, вроде проблем не было, хотя давно это было...
mutabor
24 янв 2016, 18:16
Форум: Наши проекты
Тема: Факельник!
Ответы: 87
Просмотры: 80677

Re: Факельник!

Самое интересное, что предполагал практически такую же схему (в частности резонансное управление затвором), хоть еще и не начал собирать, за исключением того, что в качестве задающего генератора хотел использовать CD4046 и возможно каким то образом приманстрячить ОС. CD4046 вроде бы только до 1,5МГ...
mutabor
24 янв 2016, 00:23
Форум: Трансформаторы Тесла
Тема: Простейшая SSTC и напряжение GDT
Ответы: 50
Просмотры: 51809

Re: Простейшая SSTC и напряжение GDT

Попробуйте увеличить резисторы в затворах. И кстати что при этом на выходе драйвера? Он тянет?
Что я сделал. Убедился что на стоке при этом нет выбросов, а значит не происходит (реальное) запирание ключа. Убедился, и забил на это :lol:
mutabor
23 янв 2016, 15:16
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 45306

Re: Аудио SSTC с сигма-дельта модулятором

Т.е ты хочешь делать частоту ШИМ равную частоте вторички? Не пугают жесткие переключения?
mutabor
22 янв 2016, 20:46
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 45306

Re: Аудио SSTC с сигма-дельта модулятором

Игрался с 4046 микросхемкой, а? ;) На самом деле у подобного решения не мало минусов: 1. Косвенная AM модуляция через FM не особо эффективна, и как следствие — звук получается паршивым, как со старого радио; 2. Работая вне резонанса теряется эффект ZCS, а это значительные потери КПД. Пропуск импуль...
mutabor
22 янв 2016, 20:27
Форум: Наши проекты
Тема: Факельник!
Ответы: 87
Просмотры: 80677

Re: Факельник!

iEugene0x7CA писал(а):Однако работа с простым IRFP460 звучит чуть ли не как фича. :)

Да, когда я его ставил был в полной уверенности что ничего не получится, слишком высокая частота для силового ключа, но то что он работает и качает мощу это факт! :shock:
mutabor
22 янв 2016, 20:20
Форум: Наши проекты
Тема: Факельник!
Ответы: 87
Просмотры: 80677

Re: Факельник!

Думаю прикрутить понижайку DC/DC на 12в, тогда одним источником можно работать.
Кстати интересный момент заметил -увеличиваю напряжение питания, а ток при этом даже немного падает о_О
mutabor
22 янв 2016, 20:12
Форум: Трансформаторы Тесла
Тема: Простейшая SSTC и напряжение GDT
Ответы: 50
Просмотры: 51809

Re: Простейшая SSTC и напряжение GDT

12 достаточно. Но когда вы подадите высокое питание форма может здорово испортиться :) Лучше смотреть схему ближе к реальным условиям, подключить первичку (не GDT, а первичку Теслы), подать вольт 60-100, запустить от внешнего генератора и смотреть что будет на затворах. У меня были ключи гораздо бол...
mutabor
22 янв 2016, 09:33
Форум: Трансформаторы Тесла
Тема: Простейшая SSTC и напряжение GDT
Ответы: 50
Просмотры: 51809

Re: Простейшая SSTC и напряжение GDT

Но без генератора поле фиксируемое осциллографом находится на частоте примерно в 3 раза выше то есть 800-900 кГц , фиксируется чёткая синусоида , при этом есть небольшая искорка. Чем это объясняется ? Как мне наладить ОС ? У меня была такая же проблема, катушка то запускалась на основной частоте, т...
mutabor
22 янв 2016, 09:05
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 45306

Re: Аудио SSTC с сигма-дельта модулятором

Значит глубина модуляции маленькая, у меня хорошо просматривалось. Кстати я еще вместо аудио выводил чисто синус, на макс.амплитуде хорошо видно когда упирается в ограничение, ну и вообще искажения можно сразу увидеть. Но по-любому играет она именно за счет АМ :) :shock: Кстати идея, если уж ты буде...
mutabor
21 янв 2016, 23:42
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 45306

Re: Аудио SSTC с сигма-дельта модулятором

Прикольно такой маленький пуфыстик, а играет бойко :D
Кстати еще можно как посмотреть на результат работы -щуп положить рядом и длительность развертки сделать 500мкс/дел. или около того -будет видно огибающую несущей (сам аудиосигнал)
mutabor
21 янв 2016, 09:37
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 45306

Re: Аудио SSTC с сигма-дельта модулятором

М-мм, а PLL то зачем? :o Ааа..кажись догадался - чтобы не мучиться с синхронизацией при слабом сигнале? Ну да, у меня была такая проблема - после долгого перерыва между импульсами следующая пачка идет с рассинхроном по фазе, правда это было только с ШИМом (он у меня на частоте 22кГц был) + после 3-5...
mutabor
20 янв 2016, 12:28
Форум: Наши проекты
Тема: Аудио SSTC с сигма-дельта модулятором
Ответы: 47
Просмотры: 45306

Re: Аудио SSTC с сигма-дельта модулятором

2 BSVi: Интересные картинки, но многое не понятно, вот например: ты из однобитного формата (в симуляшке) делаешь многобитный, это FIR фильтр с дециматором делают? Какая у тебя частота на выходе модулятора и после дециматора, и сколько фактически бит на один отсчет получается в итоге? И еще гдето чит...
mutabor
19 янв 2016, 23:25
Форум: Наши проекты
Тема: Вопросы по ISSTC
Ответы: 38
Просмотры: 38028

Re: Вопросы по ISSTC

Да в емкости с откаченным воздухом звука просто не может быть- там нет той среды в которой возбуждаются звуковые колебания :) Есть даже такой опыт -из под стеклянного колпака под которым лежит пищалка откачивают воздух,по мере откачки звук пищалки становится все тише и тише и практически пропадает.....
mutabor
19 янв 2016, 22:48
Форум: Наши проекты
Тема: Факельник!
Ответы: 87
Просмотры: 80677

Факельник!

Надоело мне играться с большими катушками и захотелось чегото высокочастотного :) Итак, решил я замутить факельник, после просмотра десятков тем на разных сайтах и вариантов схем автогенераторов от HF качера до навороченных трехточек с хитрыми связями я понял что это выходит или крайне ненадежно или...
mutabor
19 дек 2015, 17:27
Форум: Наши проекты
Тема: Вопросы по ISSTC
Ответы: 38
Просмотры: 38028

Re: Вопросы по ISSTC

Вообщем погонял при 150 и 175В АС - не все так хорошо, как я надеялся :) Из пушистика начинают вылетать одиночные стрелы и тем самым портят звук (появляется характерное для разряда КХ-Р-Р), плюс пока песенка играла электролиты опасно разогрелись :( Ну и самое фиговое -при 175 через неск.сек вырубило...
mutabor
15 дек 2015, 08:59
Форум: Наши проекты
Тема: Вопросы по ISSTC
Ответы: 38
Просмотры: 38028

Re: Вопросы по ISSTC

Вопрос с двигом фазы снялся, оказалось какаято наводка на крокодил щупа, когда с пружинкой смотрел -все было более-менее, сдвиг есть но не слишком большой 20-25*. По поводу пушистика -при 250В АС он конечно поприличней, но и шум тоже увеличивается. update. Ну вот, кажись разобрались: Имеется плазмен...
mutabor
13 дек 2015, 20:30
Форум: Наши проекты
Тема: Вопросы по ISSTC
Ответы: 38
Просмотры: 38028

Re: Вопросы по ISSTC

Вообщем допилил схему, теперь работает в 3х режимах -просто пыщ-пыщ, CW -c прерыванием ШИМом, и аудиорежим -с прерыванием от сигма-дельта. Вроде бы все работает, но в конце еще раз посмотрел осциллом везде где можно и возникли сомнения...По поводу переключения в нуле тока силовой -когда смотрел карт...

Перейти к расширенному поиску